Incremental Detailed Placement for VLSI Design

International Journal of VLSI & Signal Processing
© 2015 by SSRG - IJVSP Journal
Volume 2 Issue 3
Year of Publication : 2015
Authors : Prabhakar R, Sreenivasa Murhthy K E and Soundara Rajan K
pdf
How to Cite?

Prabhakar R, Sreenivasa Murhthy K E and Soundara Rajan K, "Incremental Detailed Placement for VLSI Design," SSRG International Journal of VLSI & Signal Processing, vol. 2,  no. 3, pp. 7-12, 2015. Crossref, https://doi.org/10.14445/23942584/IJVSP-V2I6P101

Abstract:

The main purpose of VLSI placement is to place the objects into fixed chip such that there should be no overlaps among the objects and some cost metric such as wire length and routability is optimized. Physical synthesis optimizations and changing the placement method typically change the locations of cells, resize cells or add more cells to the design after global placement. But, those changes generally leads to wire length increases; thus another method of optimizations to for further improve wire length, timing and routing congestion characteristics is required. The Incremental Detailed Placement techniques could be useful in this condition. So, we propose a new detailed placement paradigm, which use a set of pin-based timing and electrical constraints in detailed placement to prevent it from degrading timing or violating electrical constraints while reducing wire-length.

Keywords:

Routability, Physical Synthesis, Worst Negative Slack, figure-of-Merit, Routing Congestion.

References:

[1] A. B. Kahng and Q. Wang, “Implementation and extensibility of an analytic placer,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 734–747, 2005.
[2] T. Chan, J. Cong, and K. Sze: “Multilevel generalized forcedirected method for circuit placement,” in Proc. Int. Symp. on Physical Design, pp. 185–192, 2005.
[3] H. Eisenmann and F. M. Johannes: “Generic global placement and floor planning,” in Proc. Design Automation Conf., pp. 269– 274, 1998.
[4] M. Wang, X. Yang, and M. Sarrafzadeh, “Dragon2000: Standard-cell placement tool for large industry circuits,” in Proc. Int. Conf. on Computer Aided Design, pp. 260–263, 2000.
[5] U. Brenner, A. Pauli, and J. Vygen, “Almost optimum placement legalization by minimum cost flow and dynamic programming,” in Proc. Int. Symp. on Physical Design, pp. 2–9, 2004.
[6] A. B. Kahng, I. L. Markov, and S. Reda, “On legalization of row-based placements,” in Proceedings 14th Great Lakes Symposium on VLSI, pp. 214–219, 2004.
[7] H. Ren, D. Z. Pan, C. J. Alpert, and P. Villarrubia, “Diffusionbased placement migration,” in Proc. Design Automation Conf., pp. 515–520, 2005.
[8] L. Tao, H. Ren, C. Alpert, and D. Z. Pan, “Computational geometry based placement migration,” in Proc. Int. Conf. on Computer Aided Design, pp. 41–47, 2005.
[9] A. H. Ajami and M. Pedram, “Post-layout timing driven cell placement using an accurate net length model,” in Proc. Design Automation Conf., pp. 595–600, 2001.
[10] W. Choi and K. Bazargan, “Incremental placement for timing optimization,” in Proc. Int. Conf. on Computer Aided Design, pp. 463–466, 2003.
[11] B. Halpin, N. Sehgal, and C. Y. R. Chen: “Detailed placement with net length constraints,” in Proc. of The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, pp. 22–27, 2003.
[12] H. Ren, D. Z. Pan, and D. Kung: “Sensitivity guided net weighting for placement driven synthesis,” in Proc. Int. Symp. on Physical Design, pp. 10–17, 2004.