Advanced Driver Assistance System (ADAS) on FPGA

International Journal of VLSI & Signal Processing
© 2023 by SSRG - IJVSP Journal
Volume 10 Issue 2
Year of Publication : 2023
Authors : Mayank Kumar, A. Niharika, Kethireddy Anjali Reddy, Harsh Gupta, K. N. Pushpalatha
pdf
How to Cite?

Mayank Kumar, A. Niharika, Kethireddy Anjali Reddy, Harsh Gupta, K. N. Pushpalatha, "Advanced Driver Assistance System (ADAS) on FPGA," SSRG International Journal of VLSI & Signal Processing, vol. 10,  no. 2, pp. 22-26, 2023. Crossref, https://doi.org/10.14445/23942584/IJVSP-V10I2P104

Abstract:

Advanced Driver-Assistance Systems (ADAS) can help drivers in the driving process and increase driving safety by automatically detecting objects, doing basic classification, implementing safeguards, etc. ADAS integrates multiple subsystems, including object detection, scene segmentation, lane detection, and so on. In this paper, we establish a framework for computer vision features, i.e., lane detection, object detection, object distance estimation and traffic sign recognition of ADAS. Modern machine learning algorithms like Canny edge detection for lane detection and a CNN-based approach are used for object detection. The system deployed aims to achieve higher (Frames Per Second) FPS for one channel of 55 FPS. The performance of FPGA is optimized by software and hardware co-design. Realization on the DE-10 Nano board with Cyclone V FPGA and a dual-core ARM Cortex A9, which meets real-time processing requirements. An increasing amount of automotive electronic hardware and software involves significant changes in the modern automobile design process to address the convergence of conflicting goals - increased reliability, reduced costs, and shorter development cycles. The prospectus to tackle car accident occurrences is making ADAS even more critical. This paper proposes an efficient solution for ADAS on FPGA.

Keywords:

ADAS, CNN, FPGA, FPS, Machine Learning.

References:

[1] The Synopsys website, 2023. [Online]. Available: https://www.synopsys.com/designware-ip/technical-bulletin/deep-learning-dwtb-q217.html
[2] Juan Borrego-Carazo et al., “Resource-Constrained Machine Learning for ADAS: A Systematic Review,” IEEE Access, vol. 8, pp. 40573-40598, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[3] Hamish Simmonds et al., “Autonomous Vehicle Development Using FPGA for Image Processing,” 2019 International Conference on Field-Programmable Technology, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[4] Ghassan Mahmoud Husien Amer, and Ahmed Mohamed Abushaala, “Edge Detection Methods,” 2015 2nd World Symposium on Web Applications and Networking, 2015.
[CrossRef] [Google Scholar] [Publisher Link]
[5] A Complete Guide On Hough Transform, 2022. [Online]. Available: https://www.analyticsvidhya.com/blog/2022/06/a-complete-guide-on-hough-transform/
[6] YOLO: Algorithm for Object Detection Explained [+Examples], 2023. [Online]. Available: https://www.v7labs.com/blog/yolo-object-detection
[7] M. Karthi et al., “Evolution of YOLO-V5 Algorithm for Object Detection: Automated Detection of Library Books and Performace validation of Dataset,” 2021 International Conference on Innovative Computing, Intelligent Communication and Smart Electrical Systems, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[8] M.R. Ezilarasan, and J. Brittopari, “An Efficient FPGA-Based Adaptive Filter for ICA Implementation in Adaptive Noise Cancellation,” SSRG International Journal of Electrical and Electronics Engineering, vol. 10, no. 1, pp. 117-127, 2023.
[CrossRef] [Publisher Link]
[9] Shi-Huang Chen, and Ruie-Shen Chen, “Vision-Based Distance Estimation for Multiple Vehicles Using Single Optical Camera,” 2011 Second International Conference on Innovations in Bio-inspired Computing and Applications, 2011.
[CrossRef] [Google Scholar] [Publisher Link]
[10] Abdulhakam AM. Assidiq et al., “Real time Lane Detection for Autonomous Vehicles,” 2008 International Conference on Computer and Communication Engineering, 2008.
[CrossRef] [Google Scholar] [Publisher Link]
[11] CARLA Simulator. [Online]. Available: https://carla.readthedocs.io/en/latest/download/
[12] E. Ganesan, and V. Sakthivel, “A Novel FPGA Design with Hybrid LUT / MUX Architecture,” SSRG International Journal of Electronics and Communication Engineering, vol. 3, no. 11, pp. 6-8, 2016.
[CrossRef] [Google Scholar] [Publisher Link]
[13] Hyeonjae Jeon et al., “CARLA Simulator-Based Evaluation Framework Development of Lane Detection Accuracy Performance Under Sensor Blockage Caused by Heavy Rain for Autonomous Vehicle,” IEEE Robotics and Automation Letters, vol. 7, no. 4, pp. 9977-9984, 2022.
[CrossRef] [Google Scholar] [Publisher Link]
[14] Alexander Stumpf et al., “Benefits of an FPGA based SRM Controller,” 2014 9th IEEE Conference on Industrial Electronics and Applications, 2014.
[CrossRef] [Google Scholar] [Publisher Link]
[15] Traffic Safety Facts. [Online]. Available: https://crashstats.nhtsa.dot.gov/Api/Public/ViewPublication/812318
[16] Issam W. Damaj, Jibran K. Yousafzai, and Hussein T. Mouftah, “Future Trends in Connected and Autonomous Vehicles: Enabling Communications and Processing Technologies,” IEEE Access, vol. 10, pp. 42334-42345, 2022.
[CrossRef] [Google Scholar] [Publisher Link]
[17] Ahmad Shawahna, Sadiq M. Sait, and Aiman El-Maleh, “FPGA-Based Accelerators of Deep Learning Networks for Learning and Classification: A Review,” IEEE Access, vol. 7, pp. 7823-7859, 2019.
[CrossRef] [Google Scholar] [Publisher Link]