An Analog Circuit Designing Model via Machine Learning for Stage Classification and Evolutionary Solution Optimization Algorithm

International Journal of Electronics and Communication Engineering
© 2023 by SSRG - IJECE Journal
Volume 10 Issue 6
Year of Publication : 2023
Authors : M. P. Varghese, T. Muthumanickam
pdf
How to Cite?

M. P. Varghese, T. Muthumanickam, "An Analog Circuit Designing Model via Machine Learning for Stage Classification and Evolutionary Solution Optimization Algorithm," SSRG International Journal of Electronics and Communication Engineering, vol. 10,  no. 6, pp. 17-26, 2023. Crossref, https://doi.org/10.14445/23488549/IJECE-V10I6P103

Abstract:

This work aims to propose a bottom-up, two-step process that streamlines the design of analogue devices by using machine learning techniques. The complicated nature of these difficulties, which involve numerous variables and objectives, necessitates using designers' skills and knowledge while designing analogue complementary metal-oxide-semiconductor (CMOS) integrated circuits. The study offers a framework detailing the unique characteristics of creating analogue circuits using machine learning, and it looks into the potential of libraries that contain open machine-learning models to assist designers. Traditionally, commercial CMOS or software simulations have been used to create neural network designs; however, these methods may not always provide the best results. A three-stage device design is used to validate the suggested method. Using a machine learning technique called the decision tree; the stage type is correctly predicted with an accuracy of 89.74% in the first phase. To create prediction logic, two rule induction techniques are also used. In the second step, four learning techniques, decision trees, random forests, gradient-boosted trees, and support vector machines, are used to forecast the typical parameters for each stage type. The support vector machine yields the best results and has the lowest error rates of all these methods.

Keywords:

Artificial neural network, Analog system, CMOS circuit, Signal processing, Learning algorithm.

References:

[1] Kirit V. Patel et al., “Design and Implementation of Effective Elliptic Curve Cryptography Accelerator using Hardware/Software Co-Design on Zynq Board,” International Journal of Engineering Trends and Technology, vol. 70, no. 8, pp. 327-335, 2022.
[CrossRef] [Publisher Link]
[2] Zhiyao Xie et al., “FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning,” 25th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 19-25, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[3] Jihye Kwon, Matthew M. Ziegler, and Luca P. Carloni, “A Learning-Based Recommender System for Autotuning Design Flows of Industrial High-Performance Processors,” 56th ACM/IEEE Design Automation Conference (DAC), pp. 1-6, 2019.
[Google Scholar] [Publisher Link]
[4] Minh-Thang Luong, Hieu Pham, and Christopher D. Manning, “Effective Approaches to Attention-Based Neural Machine Translation,” Computation and Language arXiv: 1508.04025, 2015.
[CrossRef] [Google Scholar] [Publisher Link]
[5] C. Venkataiah, K. Satya Prasad, and T. Jaya Chandra Prasad, “Effect of Interconnect Parasitic Variations on Circuit Performance Parameters,” International Conference on Communication and Electronics Systems (ICCES), pp. 1-4, 2016.
[CrossRef] [Google Scholar] [Publisher Link]
[6] Mohamed Saleh Abouelyazid, Sherif Hammouda, and Yehea Ismail, “Connectivity-Based Machine Learning Compact Models for Interconnect Parasitic Capacitances,” ACM/IEEE 3rd Workshop on Machine Learning for CAD (MLCAD), pp. 1-6, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[7] Aixi Zhang et al., “Field-Based Parasitic Capacitance Models for 2D and 3D Sub-45-nm Interconnect,” 4th Asia Symposium on Quality Electronic Design (ASQED), pp. 110-116, 2012.
[CrossRef] [Google Scholar] [Publisher Link]
[8] Nur Kurt Karsilayan, Jim Falbo, and Dusan Petranovic, “Efficient and Accurate RIE Modeling Methodology for BEOL 2.5D Parasitic Extraction,” IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 519-522, 2014.
[CrossRef] [Google Scholar] [Publisher Link]
[9] John H. Lau, “Evolution Challenge and Outlook of TSV 3D IC Integration and 3D Silicon Integration,” International Symposium on Advanced Packaging Materials (APM), pp. 462-488, 2011.
[CrossRef] [Google Scholar] [Publisher Link]
[10] Weibing Gong et al., “A Parasitic Extraction Method of VLSI Interconnects for Pre-Route Timing Analysis,” International Conference on Communications, Circuits and Systems (ICCCAS), pp. 871-875, 2010.
[CrossRef] [Google Scholar] [Publisher Link]
[11] P. Rajeswari, and Theodore S Chandra, “Partitioning of VLSI Circuits on the basis of Standard Genetic Algorithm and Comparative Analysis of Partitioning Algorithms,” SSRG International Journal of Electrical and Electronics Engineering, vol. 9, no. 12, pp. 126- 133, 2022.
[CrossRef] [Publisher Link]
[12] Kelin J. Kuhn et al., “Process Technology Variation,” IEEE Transactions on Electron Devices, vol. 58, no. 8, pp. 2197-2208, 2011.
[CrossRef] [Google Scholar] [Publisher Link]
[13] Lijie Sun et al., “A Novel Customized RC Tightened Corner Modeling Methodology using Statistical SPICE Simulation in Advanced FinFET Technology,” 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), pp. 1-4, 2018.
[CrossRef] [Google Scholar] [Publisher Link]
[14] Kaiming He et al., “Delving Deep into Rectifiers: Surpassing Human-Level Performance on Imagenet Classification,” IEEE International Conference on Computer Vision (ICCV), pp. 1026-1034, 2015.
[CrossRef] [Google Scholar] [Publisher Link]
[15] Johannes de Fine Licht et al., “Transformations of High-Level Synthesis Codes for High-Performance Computing,” IEEE Transactions on Parallel and Distributed Systems, vol. 32, no. 5, pp. 1014-1029, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[16] Razvan Nane et al., “A Survey and Evaluation of FPGA High-Level Synthesis Tools,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 10, pp. 1591-1604, 2016.
[CrossRef] [Google Scholar] [Publisher Link]
[17] Guyue Huang et al., “Machine Learning for Electronic Design Automation: A Survey,” ACM Transactions on Design Automation of Electronic Systems, vol. 26, no. 5, pp. 1-46, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[18] Ecenur Ustun et al., “Accurate Operation Delay Prediction for FPGA HLS using Graph Neural Networks,” Proceedings of the 39th International Conference on Computer-Aided Design, pp. 1-9, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[19] Walter Lau Neto et al., “LSOracle: A Logic Synthesis Framework Driven by Artificial Intelligence: Invited Paper,” IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-6, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[20] Mohamed Baker Alawieh et al., “High-Definition Routing Congestion Prediction for Large-Scale FPGAs,” 25th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 26-31, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[21] Fanchao Wang et al., “Accelerating Coverage Directed Test Generation for Functional Verification: A Neural Network-Based Framework,” Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 207-212, 2018.
[CrossRef] [Google Scholar] [Publisher Link]
[22] Fabian Pedregosa et al., “Scikit-Learn: Machine Learning in Python,” Journal of Machine Learning Research, vol. 12, no. 85, pp. 2825- 2830, 2011.
[Google Scholar] [Publisher Link]
[23] Paolo Mantovani et al., “Hl5: A 32-bit RISC-V Processor Designed with High-Level Synthesis,” IEEE Custom Integrated Circuits Conference (CICC), pp. 1-8, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[24] Dejun Jiang et al., “Could Graph Neural Networks Learn Better Molecular Representation for Drug Discovery? A Comparison Study of Descriptor-Based and Graph-Based Models,” Journal of Cheminformatics, vol. 13, pp. 1-23, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[25] Walter Lau Neto et al., “LSOracle: A Logic Synthesis Framework Driven by Artificial Intelligence: Invited Paper,” IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-6, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[26] Ecenur Ustun et al., “LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure,” IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 74-77, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[27] Steve Dai et al., “Fast and Accurate Estimation of Quality of Results in High-Level Synthesis with Machine Learning,” IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 129-132, 2018.
[CrossRef] [Google Scholar] [Publisher Link]
[28] Walter Lau Neto et al., “SLAP: A Supervised Learning Approach for Priority Cuts Technology Mapping,” 58th ACM/IEEE Design Automation Conference (DAC), pp. 859-864, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[29] Shobha N. Pawar, Pradeep B. Mane, and Milind P. Gajare, “Ku Band Ultra-Low Phase Noise PLL Frequency Synthesizer using 0.18 μm CMOS Process,” International Journal of Engineering Trends and Technology, vol. 70, no. 8, pp. 10-25, 2022.
[CrossRef] [Publisher Link]
[30] Cunxi Yu, and Zhiru Zhang, “Painting on Placement: Forecasting Routing Congestion using Conditional Generative Adversarial Nets,” Proceedings of the 56th Annual Design Automation Conference, pp. 1-6, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[31] Mouna Karmani et al., “A New Dual Differential FullAdder Design for CED Based Fault Tolerant Circuits,” International Journal of Engineering Trends and Technology, vol. 69, no. 12, pp. 257-266, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[32] Yasasvi V. Peruvemba et al., “RL-Guided Runtime-Constrained Heuristic Exploration for Logic Synthesis,” IEEE/ACM International Conference On Computer Aided Design (ICCAD), pp. 1-9, 2021.
[CrossRef] [Google Scholar] [Publisher Link]
[33] Ghasem Pasandi, Shahin Nazarian, and Massoud Pedram, “Approximate Logic Synthesis: A Reinforcement Learning-Based Technology Mapping Approach,” 20th International Symposium on Quality Electronic Design (ISQED), pp. 26-32, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[34] Cunxi Yu, and Wang Zhou, “Decision Making in Synthesis Cross Technologies using LSTMs and Transfer Learning,” ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD), pp. 55-60, 2020.
[CrossRef] [Google Scholar] [Publisher Link]
[35] Shuangnan Liu, Francis C. M. Lau, and Benjamin Carrion Schafer, “Accelerating FPGA Prototyping through Predictive Model-Based HLS Design Space Exploration,” Proceedings of the 56th Annual Design Automation Conference, pp. 1-6, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[36] Biying Xu et al., “WellGAN: Generative-Adversarial-Network-Guided Well Generation for Analog/Mixed-Signal Circuit Layout,” 56th ACM/IEEE Design Automation Conference (DAC), pp. 1-6, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[37] Deepti Raj, A. B. Kalpana, and Manoj Kumar Singh, “Optimal FSM's State Encoding for Low power using Dynamic Boundary Difference Mutation Strategy in Evolutionary Programming,” SSRG International Journal of Electrical and Electronics Engineering, vol. 10, no. 1, pp. 160-167, 2023.
[CrossRef] [Publisher Link]
[38] Cunxi Yu et al., “DAG-Aware Logic Synthesis of Datapaths,” 53rd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1-6, 2016.
[CrossRef] [Google Scholar] [Publisher Link]
[39] Walter Lau Neto et al., “LSOracle: A Logic Synthesis Framework Driven by Artificial Intelligence: Invited Paper,” IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-6, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[40] Jason Luu et al., “VPR 5.0: FPGA CAD and Architecture Exploration Tools with Single-Driver Routing Heterogeneity and Process Scaling,” ACM Transactions on Reconfigurable Technology and Systems, vol. 4, no. 4, pp. 1-23, 2011.
[CrossRef] [Google Scholar] [Publisher Link]