Area Efficient Architecture for TCAM using Hybrid Partitioned SRAM

International Journal of Electronics and Communication Engineering
© 2015 by SSRG - IJECE Journal
Volume 2 Issue 7
Year of Publication : 2015
Authors : Sreelekshmi S and Pooja S. Mohan
pdf
How to Cite?

Sreelekshmi S and Pooja S. Mohan, "Area Efficient Architecture for TCAM using Hybrid Partitioned SRAM," SSRG International Journal of Electronics and Communication Engineering, vol. 2,  no. 7, pp. 26-29, 2015. Crossref, https://doi.org/10.14445/23488549/IJECE-V2I7P109

Abstract:

Ternary content addressable memories are special type of memories that provides very highspeed search operation. But when comparing with traditional Static Random Access Memory (SRAM), Ternary Content Addressable Memory (TCAM) suffers from certain drawbacks like low bit density, high cost, low scalability and lack of available flavors. So here is an area efficient novel architecture for TCAM based on hybrid partitioned SRAM. The design is equipped with gated-clocking scheme so that efficient power management is achieved. The architecture was verified by VHDL

Keywords:

Field programmable gate array(FPGA), Linear Priority Encoder, Hybrid Partitioning, Gated clocking, ternary content addressable memory

References:

[1] Zahid Ullah, Manish K. Jaiswal, and Ray C. C. Cheung, “Z-TCAM: An SRAM-based Architecture for TCAM”, IEEE trans. on very large scale integr. (VLSI) syst., vol. 23, no. 2, pp. 402-406 feb 2015.
[2] Russell Tessier, Vaughn Betz, David Neto, Aaron Egier and Thiagaraja Gopalsamy, “Power-Efficient RAM Mapping Algorithms for FPGA Embedded Memory Blocks”, IEEE transactions on computer-aided design of integr. circuits and syst, vol. 26,pp.276-289 no. 2, Feb.  2007
[3] H. Noda et al., “A cost-efficient high-performance dynamic TCAM with pipelined hierarchical searching and shift redundancy architecture,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 245–253, Jan. 2005.
[4] Xilinx, San Jose, CA, USA. Xilinx FPGAs [Online]. Available: http://www.xilinx.com
[5] K. Pagiamtzis and A. Sheikholeslami, “Content-addressable memory (CAM) circuits and architectures: A tutorial and survey,” IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 712–727, Mar. 2006.
[6] N. Mohan, W. Fung, D. Wright, and M. Sachdev, “Design techniques and test methodology for low-power TCAMs,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 6, pp. 573–586, Jun. 2006.
[7] M. Somasundaram, “Memory and power efficient mechanism for fast  table lookup,” U.S. Patent 20 060 253 648, Nov. 2, 2006.
[8] G. Palumbo, F. Pappalardo, and S. Sannella, “Evaluation On Power Reduction Applying Gated Clock Approaches”, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 4, pp. 85-89, Feb. 2002 
[9] S. V. Kartalopoulos, “RAM-based associative content-addressable memory device, method of operation thereof and ATM communication switching system employing the same,” U.S. Patent 6 097 724, Aug. 1, 2000.